HistogramRange

HistogramRange ( minValue ;​ maxValue ;​ numOfBins )

Argument Type Range Default Note
minValue num -inf..+inf (autom.)
maxValue num -inf..+inf (autom.)
numOfBins int 1..10000 10
Examples

HistogramRange(10;​20;​20)

HistogramRange(0;​50)

Description

By using the arguments minValue, maxValue and numOfBins in the HistogramRange() function, both the range to be evaluated and the number of bins can be defined by the user. Please note that, as the default, two end intervals are added, i.e. when, for example, numOfBins=3, a total of five bins are depicted. The HistogramRange() function should be entered after the Histogram() function.

Scroll to Top